Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vinothvon

  1. V

    RAM Help - code explanation needed

    RAM Help thanks for your help guys..
  2. V

    RAM Help - code explanation needed

    Re: RAM Help awesome help guys, thanks for it.. for the third question, i knew something about always statement, but i need the working of lines after it, that is, begin : MEM_WRITE if ( cs && we ) begin mem[address] = data; end end begin : MEM_READ if (cs && !we && oe)...
  3. V

    RAM Help - code explanation needed

    Re: RAM Help thanks for that help bro.. 1.Variable reg & mem are defined which is 8 bit wide. is reg a variable? 2.is it possible to assign two things without comma? reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1]; 3. i need to explain the operation that is happening below this always @...
  4. V

    RAM Help - code explanation needed

    RAM Help i got this code from net for RAM.. module ram_sp_sr_sw ( clk , // Clock Input address , // Address Input data , // Data bi-directional cs , // Chip Select we , // Write Enable/Read Enable oe // Output Enable ); parameter DATA_WIDTH = 8 ; parameter ADDR_WIDTH = 8 ...

Part and Inventory Search

Back
Top