Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vijayalaxmi

  1. V

    VHDL code for complex arithmetics

    i want to know a synthesizable code to find the value of.... for eg. x to the power of y , where x is an integer and y is a real number ,like 2 to the power of 0.5. i tried with ** operator,like defining x and y as integer and real data types respectively and z as areal data type .given the...

Part and Inventory Search

Back
Top