Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vijay.mani884

  1. V

    Why we fix SETUP Violations and then fix HOLD violations

    i am assuming, that clock tree expansion means after CTS. Since, during Pre-cts. the actual placements of the flops comes into the picture and until this point the clock is not yet in propagated mode. Also, there is no point/chance of the hierarchy changes can take place.so In this phase we...
  2. V

    Lint check and formal verification

    Lint checking is also known as PLDRC (Prelayout Design Rule Checking). This is basically done on RTL to check for errors. We generally use a tool called Spyglass to perform the linting. Formal verification is a must. this is performed at various levels.This is actually done to make sure that...
  3. V

    The flow of primetime for bottom-up syn

    If you see in other way around... bottom up approach for a chip means top down for that particular Block/core/submodule right. Thus need to close timing exclusively. Then try and extarct the timing models of the blocks and then perform full chip timing. This will save the run time also. Cheers
  4. V

    ASIC Physical implementation

    Well, the typical ASIC implementation stage involves other as well other than what you have written. In the industry, typically ASIC implementation involves the following: 1. PLDRC * optional LVMEMBIST Insertion some companies prefer rtl level Membist insertion some prefer to insert in netlist...
  5. V

    Design compiler libraries

    Thats why i wrote get a design compiler User guide there they have given one design example.Follow each and every step, carefully. Understand whats happening in each and every step do some ground work then come up with some interesting questions. cheers
  6. V

    Executing a script with design compiler and encountering error

    All these errors clearly indicate that these are version errors. Moreover, sometimes while copying the scripts from internet it is very important to use some common sense. In your script you have written: analyze -f verilog $my_files first of all have you mentioned this variable anywhere? in...
  7. V

    Design compiler libraries

    yes true.. the default libraries are not 60nm libs/dbs. Since you have already mentioned about it that nobody can share the same, Thus was suggesting him to go ahead if you just want to get familiar with the tool itself. Since, the way he put up the question itself shows he doesn't have much...
  8. V

    Design compiler libraries

    Good if you have none still you can use Design compiler. Go to the installation directory, then to libraries. Use the default "lsi_10k.db" as your target library and link library as well, and link library as "dw_foundation.sldb" as synthetic library. try and perform the design example as given...
  9. V

    Design compiler libraries

    what do you mean design compiler 60 nm library files? Do you mean you want .db's for dc? you can create .db of .libs.. cheers
  10. V

    reg vlsi low power cmos design

    clock gating cells level shifters retention flops
  11. V

    Why Clock gating cells are added in a Design and how to handle during sca stitching

    In order to know how to addd. first it is very important to know "where to add". The chip/top level design guy who have the complete visibility of the chip will decide which logic is gonna use when and which logic block is not gonna use. Thus, the rtl is written with respect to this. After...
  12. V

    Deep submicron timing closure challenges

    thats fine how does it effect the timing? well, I know this is also one big challenge, but i am more interested in terms of timing. Thanks for your comment though. Cheers
  13. V

    Deep submicron timing closure challenges

    Dear All, Kindly discuss your experiences. I want to know what are the major challenges that we may face while closing the time in deep submicron technology. Like 65 nm to 45nm and 45 nm to 28 nm. I Know cross talk is one big challange. I want to know few other and their remedies. Thanks in...
  14. V

    Intrinsic Delay for Standard cell

    I think the problem is with the command. first thing you have not specified the VDD value anywhere in the example shown above. Second as far as i remember the pulse value should include the voltage level that you are using. There should not be any comma between (0(volts) and Vdd(volts)). I...
  15. V

    [DC] What does the "default group" mean in DC timing report?

    Nope it cant be ingroned these are actually asynchornous paths which doesn't belong to any clock groups. thus categorized as defaults. cheers

Part and Inventory Search

Back
Top