Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by torius

  1. T

    fpga doen't work correct

    Thirst of all, thnx for the reactions, but it still doens't work :(:(, does anyone else maybe have a suggestion? Regards, torius
  2. T

    fpga doen't work correct

    Hallo, I don't get any warnings, so i also don't know what's wrong. :( and that you have 2 the same state diagrams for counting up and down, and that Only down works and up does it times it seems to "crash" regards, Torius
  3. T

    fpga doen't work correct

    Hallo again :) My project is like this: i've got a moter encoder on a fpga, i'm counting the pulses and send the data throug i2c. the problem is like this: every time i move the moter encoder, my fpga sometimes counts only for a sec and then doesn't response anymore. sometimes it counts wrong...
  4. T

    How to write a code for sending data through I2C

    Re: Data through i2c hello again thnx addn i think its with shift reg its much easier :) also thnx vs21 for your offer, but i think i will make it now :) and if not i will replay on your offer :) thnx Torius
  5. T

    How to write a code for sending data through I2C

    Re: Data through i2c Hallo, addn first, Thnx for your reaction. i understand what you saying. this is what i had in my mind. always @ (condition) sel1 = sel1+1; always @ (condition) begin got_ACK = 1'b1; // temp for simulation case (sel1) 0: SDA = Enc_1T[0] ; 1: SDA = Enc_1T[1]...
  6. T

    How to write a code for sending data through I2C

    Re: Data through i2c Hello, I understand the i2c protocol, the only problem for me is verilog code, the only thing what i've got to do now is a reg [31:0] data. send through the sda line so i need to send 8 bits then wait for ack then send 8 bit etc. but this is the problem, don't know how to...
  7. T

    How to write a code for sending data through I2C

    Hello there, I'm busy with 2 moter encoders. those encoders are connented to a fpga. the fpga need to count every pulse. so i created a 32 bit register to count. the 32 bit register is split in 4 times 8 bit. now i want to send those values to a microcontroller with I2C, i found an i2c slave...

Part and Inventory Search

Back
Top