Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tarek-

  1. T

    How to correct the phase error in QVCO?

    Re: phase error in QVCO simulating
  2. T

    How to correct the phase error in QVCO?

    hi, how to correct the phase error in QVCO? between I+ and I- is ok, same with Q+ and Q-. but between I+ and Q+ is not 90°, same with I- and Q-, they are closer to 45°.
  3. T

    VHDL - Signal xx cannot be synthesized, bad synchronous desc

    Well in the testbench it does give X for low CLK. I think the underlying problem is that although the code is syntactially correct and works in simulator, there is no such resource )or set of resources) which matches the behavior modeled in the code thus it can't be synthesized. I'm doing some...
  4. T

    VHDL - Signal xx cannot be synthesized, bad synchronous desc

    Re: VHDL - Signal xx cannot be synthesized, bad synchronous I have followed your suggestion and still get the error. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU4bit is Port ( x : in STD_LOGIC_VECTOR (3 downto 0)...
  5. T

    VHDL - Signal xx cannot be synthesized, bad synchronous desc

    Re: VHDL - Signal xx cannot be synthesized, bad synchronous that part of the code i don't think is causing problems. its when i address the state where clk is low, i want all outputs as X. i put the ELSE and i get 'bad synchronous description'. i take else out and initialize all outputs as X...
  6. T

    VHDL - Signal xx cannot be synthesized, bad synchronous desc

    4 bit addition alu vhdl The signals are all initialized to 0 after BEGIN in process statement. that is not enough? should the ELSE statement be in the 'output assignment' section then? i am using xilinx ise 9.2i, installing quartus 7.2 right now also. IF s(3) = '0' THEN -- only consider CCR...
  7. T

    VHDL - Signal xx cannot be synthesized, bad synchronous desc

    vhdl loop nested if then else I still get the problem when I remove the ELSE statement. If I change to process(CLK) the error is still there... very strange. I moved the code under the ELSE to the top where the input/output initialization is and doesnt work, but when I comment out this code i...
  8. T

    VHDL - Signal xx cannot be synthesized, bad synchronous desc

    bad synchronous description I have written a 4-bit ALU with CCR in VHDL, but when I try to obtain the Synthesis Report in Xilinx ISE 9.2i I get this error: line 39: Signal C cannot be synthesized, bad synchronous description. Line 39 is: " PROCESS(s, CLK) IS" library IEEE; use...
  9. T

    Why we use 50MHz as a reference?

    in architecture such as integer-n fhss (i.e. bluetooth), Fref must be equal to channel spacing (i.e. 1MHz), right? (how) does this requirement differ for fractional n Σ-Δ?
  10. T

    Does this simple circuit give voltage to Vdd?

    A simple circuit! does it work by tying all pmos sources to voltage sources?
  11. T

    Does this simple circuit give voltage to Vdd?

    A simple circuit! why are there output pins? is this the top level or there is this the schematic of a symbol? make sure all pmos source is tied to vdd (from basic library, symbol) and in top level you have the vdd-vdc-gnd circuit. if you are really struggling, tie all pmos to vdc=1.8. does the...
  12. T

    Vdd and gnd for Mixed signal layout

    read notes on ssn **broken link removed**

Part and Inventory Search

Back
Top