Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by swasiki

  1. S

    about the case statement in verilog

    hi guys, I'm just studying the verilog, and got something very wired in case statement. The followings are the code, and it's very simple: always @(*) begin casex({aluop_ex, funct}) 6'b000??? : alu_ctrl <= 0000; 6'b010000 : alu_ctrl <= 0000; 6'b010001 : alu_ctrl <= 0001...

Part and Inventory Search

Back
Top