Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by swapnil_vlsi

  1. S

    LCD Display for curser at starting point of line2

    I made a little program just to use lcd on my xilinx spartan-2 board. The program is seem to be good for me, the results are too good it works. but m no geting how the curser at starting point of line2, i.e. The first character of line 2 on the lcd . Every other character of line 1 is good...
  2. S

    how to send AT command to gsm modem using VHDL

    at commands for gsm modem hi, I am working on GSM and controlling using FPGA.so i need TO KNOW how to program xilinx fpga and how to send AT command to gsm modem..i know the AT commands but by using VHDL how to write the code ????? for that I did uart vhdl coding for sending AT commands...
  3. S

    Need help for ADC0808 device to interface with FPGA

    Need help for ADC0808 I m Using ADC0808 device to interface with FPGA I wanted to know the difference between ADC0808 and ADC0804.. as m already having the information for ADC0804(have a code).. can i have to write the different code for ADC0808.. if any one can give the code for ADC0808...
  4. S

    please help in Rc5 Algorithm

    Yes use 5 least significant bit from Addition result
  5. S

    how to program xilinx fpga, send AT command to gsm modem

    Re: gsm Hey HI u DID this ;-) Now m also trying to do the same right now m writing for the Uart let me know Regards,
  6. S

    interfacing with ADC AC97 Codec chip(AD1981B) in VHDL

    AD1981B in VHDL I have to control analog signals using a board Virtex-5 DSP !!!! Somebody has source code for interfacing with ADC AC97 Codec chip(AD1981B) in VHDL? HELP!! please....
  7. S

    Virtex-5 ML506 FPGA Board How to use its application

    Hi, If Anybody knowing with other board (any other board of Xilinx) how to use on board application Please let me know. Regards
  8. S

    Virtex-5 ML506 FPGA Board How to use its application

    ml506 Hi, I Have one board Virtex-5 ML506 FPGA DSP, i want to use this board which having Video Input,Ethernet,Video OutPut,RS-232 (Male) – serial port and many more application design for virtex5, xilinx provides its Reference design ml506_lab_resources, !!!!!with this file few...
  9. S

    which CAN IC is best for CAN protocol interface

    Re: CAN protocol Originally CAN Protocol Developed by Bosch published the CAN 2.0 CAN Protocol is used to control many operation as per your application you can use any IC as it is made by 1)Bosch 2)Microchip 3)National Semiconductor 4)STMicroelectronics 5)TI and there are many more
  10. S

    need help to implement altsyncram for pci

    hi to all.......... m implementing PCI master/target module with reference to Altera. while using both module mem256x32 module is port map ... n in this they have used altsyncram module.... so can any one tell me the code or funcanitily or nay detail abt altsyncram module...
  11. S

    please help in Rc5 Algorithm

    Hi........ here A and B are 32 bits.to rotate (l[j]+A+B) value (A+B) times ... use the result of addition (A+B) .in this addition u have to use its least significant bits i.e. its 5 bits .with this five bit u can rotate try it... Best Luck
  12. S

    please help in Rc5 Algorithm

    for how much bit you r doing this algorithm.??... to rotate with any number of bit to left or right by the amount by the least significant bits u can shift .....
  13. S

    Please kindly answer one question about FFT128pts

    while multiplying input with Twiddle factor (Tw) u get two value one is real and other is imaginary.
  14. S

    problem with wrapper instantiation

    Hi, Have anyone worked on EDK kit..? If so, tell me one thing………… I have my custom IP…it is running perfectly when I am downloading it on the board vertex4……………. But when I run it with the OPB wrapper instantiation………its not recognizing the xilinx primitives in the design……...
  15. S

    Sampling of sound wave

    I have to do a Sampling of sound wave for .wav file at 8kHz and 16kHz... using theMATLAB tool.... actually m having the idea of doing the same but for the Sine wave... n i have to do it for some other parameter can any one pls tell me????

Part and Inventory Search

Back
Top