Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Sujith_Raj

  1. S

    Error while coding a 2 bit comparator code

    Hi all; I write a VHDL code below. There are no error when I compile it, but then fatal error occur when I try to simulate. library ieee; use ieee.std_logic_1164.all; entity comparator2 is port (...

Part and Inventory Search

Back
Top