Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Suganya Subramanian

  1. S

    need help to correct the code related to that architecture

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sorter1 is port(a : in std_logic_vector(15 downto 0); b : in integer; clk : in std_logic; c : out std_logic_vector(15 downto 0); c1 : out integer); end sorter1; architecture...
  2. S

    how to find throughput value?

    i m doing project on nonbinaryLDPC decoder in that how to caculate throughput and critical path?
  3. S

    VHDL code for truncation

    sir, for example input bit:10 bit,output bit:8 bit... means how to reduce this truncated 2 bits effects in information?
  4. S

    VHDL code for truncation

    can you help how to truncate the bits without information loss..

Part and Inventory Search

Back
Top