Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by stackprogramer

  1. stackprogramer

    Experienced C++/Qt Developer Seeking remote Opportunities

    Hello I hope this message finds you well. I am reaching out to express my interest in potential C++/Qt Developer opportunities within your esteemed organization. I hold a Master's degree in Computer Science, with a specialization in C++ and multithread socket programming. Over the years, I...
  2. stackprogramer

    when I intialize block RAM and I want to use block RAM in a FOR I faced with this invalid memory name?

    When I remove the for and I write this section instead for now it works...I don't think in the Reg array I have a limit in a clock......but when I used even for i=1 or 2 I was faced with previous errors. my question why when I used for with readmemh it doesn't work correctly? can any one guide...
  3. stackprogramer

    when I intialize block RAM and I want to use block RAM in a FOR I faced with this invalid memory name?

    when I initialize block RAM and I want to use block RAM in a FOR I am faced with this invalid memory name. When I don't use RAM block array in For loop I have not any warning and readmemh works correctly... WARNING: [Synth 8-2898] ignoring malformed $readmem task: invalid memory name...
  4. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    Thanks very much. Finally, my BRAM data initialize successfully. for a simple module and a simple RAM we has not any problem in synthesis.... reg [31:0] edge_tbl_rom[0:1024]; initial begin //Initial $readmemh("/home/sp/1.hex",edge_tbl_rom,0,1024); end
  5. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    I test with this files but warning is live yet......In simulation it works but i synthesis I faced with this warning........How can solve problem.........I am sure that path to files is true............. WARNING: [Synth 8-2898] ignoring malformed $readmem task: invalid memory name [file.sv:50]...
  6. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    Thanks very much. My problem work in simulation but it not work in synthesis.........in build log I saw this warning.....I atteched out_i and out_q file.... But I can not found this warning and How I can solve it.... a same link had same problem...
  7. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    ُThanks, But this case of being able to be synthesized is written in some documents that it can be synthesized, in some it is not possible to be synthesized, I don't know the reason, but for my FPGA case, it seems that it is not possible to be synthesized. However, I would appreciate it if...
  8. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    Finally the last reason that I found:readmemh is not synthesisable for vivado xilinx......it is ignored........ 1665730212 This tip is mentioned in The Verilog ® Golden Reference Guide
  9. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    I emphasize that size is 1025 and it is match with readmemh file.....but for large size more than 256 I faced with a strange bug.... I initialized reg array in initail block but in synthesis and running FPGA I see that reg array was not initialized.........
  10. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    I build this code for FPGA Kintex 7 - 410T in Xilinx Vivado 20019. For memory with size of 255 it works but for more than 255, My array reg initialize with zero... 1665680269 Some links that is dicussed about this problom: But I can not understan the solution... Can anyone help me... it is in...
  11. stackprogramer

    [SOLVED] Why initialize large array in Verilog is not successfully

    Why initialize large array in Verilog is not successfully. When size of array is 255 it work like charm but for other number more than 255 like 1024 and .... we observe all array is filled with zero? why large array in FPGA can not initailize correctly???? reg signed [15:0]...
  12. stackprogramer

    Why when I want to use reg array and Initialize them, FPGA synthesis and bitstream takes too long?

    I don't have any RAM, I only have two reg arrays and I want to initialize them. my question can be asked in another way. How can I initialize the reg array in Verilog and FPGA kintex7.....
  13. stackprogramer

    Why when I want to use reg array and Initialize them, FPGA synthesis and bitstream takes too long?

    Why when I want to use reg array and Initialize them, FPGA synthesis and bitstream take too long? When I define some reg array and initialize them for big array size I understand bitstream can not build and stay in stage: Current phase: Handling Custom attributes..... and I wait for 3 hours but...
  14. stackprogramer

    Why does a=a+b that works in CPP not work the same CPP in Verilog???

    I'm sorry, It is a typo, But in my project is b=k; module test_core #(parameter WIDTH=16) (input clk, input reset); reg [15:0] a; reg [15:0] b; reg [15:0] k; initial begin a=0; b=0; k=0; end always @(posedge clk) begin for (k = 0; k < 5; k = k +...
  15. stackprogramer

    Why does a=a+b that works in CPP not work the same CPP in Verilog???

    Thanks very much @KlausST @danadakk, This is my Verilog code: module test_core #(parameter WIDTH=16) (input clk, input reset); reg [15:0] a; reg [15:0] b; reg [15:0] k; initial begin a=0; b=0; k=0; end always @(posedge clk) begin for (k = 0; k <...

Part and Inventory Search

Back
Top