Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
This ai describe :
library ieee;
use ieee.std_logic_1164.all;
entity ROM is
port ( address_A : in std_logic_vector(5 downto 0);
data_D : out std_logic_vector(5 downto 0)
CS,CS:in BIT ;
end entity ROM;
architecture behavioral of ROM is
type mem is array (...
I must describe a multiplier based on a ROM. And the requirements are:ROM has 64 addresses and each address is stored in a 6-bit valuewhich is the product of two numbers defined by 3 bits address bus. The two
3-bit numbers are given by the 6 bits of the address entry as follows: A (5 downto 3)...
I belive that I can describe a multiplier using a ROM.
The circuit's ports are: A[5:0]-input, which
is a bus for adresses, D[5:0]-output which is a bus for data
informations and it gets the data value which is stored at the adress
of the input A ;OE(output enable) -it is the input which...
Must describe a rom for my teacher wants it.Is important to respect the requirements.Since a couple of days I've been researching but I wasn't able to describe the ROM.I don't know what to do...
hello all
I am a student and I need to describe a ROM in vhdl ,but the program has too many unknowns for me. Please give me some ideas.
ROM has 64 addresses and each address is stored in a 6-bit value
which is the product of two numbers defined by 3 bits address bus. The...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.