Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Soda

  1. S

    Is it possible to tie two bidirectional pins to each other?

    Re: Bidirectional I/O Thanks for the quick replies! Here is the code, I've done so far: library ieee; use ieee.std_logic_1164.all; entity block is port( BIDIR_DATA1: inout std_logic_vector (15 downto 0); --data signals BIDIR_DATA2: inout std_logic_vector (15 downto 0); RW...
  2. S

    Is it possible to tie two bidirectional pins to each other?

    Hey, I'm working with a project where I need to do a simple voltage level shifting between mcu databus and a pheripheral. My question is: Is it possible to tie two bidirectional pins to each other? I'm using Lattice's MachXO. I've tried this: BIDIR_DATA1 <= BIDIR_DATA2 when (RW = '0') else...

Part and Inventory Search

Back
Top