Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by simopoulos

  1. S

    DRC - LVS on nangate library

    Thanks for the reply. However if I wanted to make a core (IP), what I would do is to synthesize using the .db files, import the result to encounter, import the result of the encounter to virtuoso and characterize the design that is imported to virtuoso. How can I be sure that the core is DRC/LVS...
  2. S

    DRC - LVS on nangate library

    (Reposting this thread as I did not have any replay -- need help on this) I have downloaded the nangate library and I want to test a layout (that I have synthesized on this library via synopsys and imported it to virtuoso). How can I run DRC and LVS checks? I cannot find any rule files. Thanks
  3. S

    DRC - LVS on nangate library

    Hello, I have downloaded the nangate library and I want to test a layout (that I have synthesized on this library via synopsys and imported it to virtuoso). How can I run DRC and LVS checks? I cannot find any rule files. Thanks
  4. S

    Cadence ams Stimulus file

    Thanks for all your help. I will try to use a verilog tb at -f ams option. For completeness attached is a photo of the schematic (just three inverters connected togeather and I am trying to use a number of them with verilog view). Regards, Thodoros
  5. S

    Cadence ams Stimulus file

    The netlist does not make reference to the stimulus file stim_testams.tcl. However I remember that when I had as simulator spectre instead of ams, the netlist file was also not referenced but the simulation was OK. The stimulus file includes the following: simulator lang=spectre _vTin (tin 0)...
  6. S

    Cadence ams Stimulus file

    How can I post the simulation command file? What I do to simulate is: I open ADE and I select the config view of the design. I set simulator to ams - define connect rules - set stimulus file (via ADE -> setup -> simulation files) Then I "netlist and run" ---Result shows that the stimulus file...
  7. S

    Cadence ams Stimulus file

    How can I post the connection of them. Can you please tell me what files or text is needed? What I am thinking is that I use connect Rules given by cadence samples (under the insisive package). However I use umc65nm technology. Is it possible that the rules do not match the technology? Thanks...
  8. S

    Cadence ams Stimulus file

    Hello, I am trying to simulate three inverters connected in sequence using cadence ams simulator and a stimulus file that drives the input with a vpulse. I cannot make it work. The input is not driven and stays at 0.3V with vdd at 1v. (All views are schematic) I use the same stimulus file...
  9. S

    Cadence ELC. Problem with flip-flop and latch.

    I am facing the same problem with my RS_LAT. Is there a way to walk this through? Thanks.
  10. S

    how to add io pads to analog circuit layout made in UMC 90nm

    Hello! Did you manage to add the io pads ? I am looking for the pin layers to use as my pins turn out to be unbound. Thanks for the help.
  11. S

    unbound pin in assura LVS

    Hello, I have the same unbound pin error during LVS. The ...EXTRACT.rul has the code: label( m1_text M1 ) . . . label( m1_textt M1 ) . . . m1_text = textToPin( "M1_CAD" type("TEXT") ) . . . m1_textt = textToPin( "ME1" type("drawing") ) I have tried different combinations when I create the...
  12. S

    umc 90nm layout problem

    Dear Lokesh, did you manage to solv the errors you mention below? I have the exact same case. Please help...
  13. S

    Virtuoso variable size

    Hello, Does anyone know if it is possible to use variable size of wire or pin names in cadence virtuoso schematic editor? (i.e. bus<0:maxLen>) Thanks in advance, Thodoros
  14. S

    How to enable Vdd in IC6 ?

    Is Vdd enabled? Thanks dedalus. It's quite clear. I guess I will have to make symbols of all my schematics. Thodoros
  15. S

    How to enable Vdd in IC6 ?

    Is Vdd enabled? Hello, I have noticed that in ic5 and even when I shipped to ic6 vdd of analog lib might not be enabled, as what it just does is getting noise from the input. (I am referring to the picture below). So what I usually do is to use vdc instead of vdd. However this is not...

Part and Inventory Search

Back
Top