Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ShiftRegister

  1. S

    Asynchronous state machine - Verilog synthesis question.

    I am trying to build a block that ouputs a certain value initially (10) , and increments or decrements this value when input signals UP or DOWN are asserted (these are active low signals in my design). It just doesnt seem to work the way I want, although the code synthesizes on Quartus II...

Part and Inventory Search

Back
Top