Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Hi
what is the condition of VLSi industry in INDIA in terms of Jobs?? because I am not getting any opportunities . should I leave this industry?? please suggest somethng??
I want to start from internship .
I have two years gap after my graduation??
Hi
address issue resoved, I want to ask about 2D fft.
can we say that 2D fft of 16 point FFT is nothing but 16 times FFT calculation of 16 point FFT.??
Hi
now I am facing problem with adding input into this ram because of the 'address' port.
because value coming into this ram are the output values from fft block. So, how do I give the address for that data .
I have port mapped the ram.i.e. output of FFT is fed as a input to ram but how can I...
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.Numeric_Std.all;
entity sync_ram is
port
(
clock : in std_logic;
we : in std_logic;
address : in std_logic_vector(7 downto 0);
datain : in std_logic_vector(15 downto 0);
dataout : out std_logic_vector(15...
Hi
thanks
I had 16 input for my 1d fft ,Now for 2D fft I had assumed that 16 input into 4*4 matrix.but they are defined in the same way as it was for fft 1D.
Now I am calculating the 1D fft then transposing the 1D fft output then again calculating the 1D fft on those and at last again...
Hi
Can I apply below input that has been applied to 1D fft, to the 2D fft??
the input is like array of vector form i.e.
0000000000010000
1000111000101001
0100101101000001
0011010011100101
0101001100101101
0001110000110001
1101010000001011
0011111100110010
0100111010001111
0010000001011010...
Hi
I have vhdl code for 1D FFT it runs perfect.Now I want to convert it to 2D
My question is do I need input in matrix form for the 2D fft??
I am using 16 inputs for 1D fft which are coming one by one. so for converting the code to 2D fft
do I need to change the input or can I use the same...
Hi
Thanks for your replies, it was very helpful
Now I have problem with writing into text file.
I have to take values from user. values are in the format
107 53.14 sdf
154 45.32 adsf ............... so on
suppose 10 values
Now I have to write these values into text file .
So, how...
Hi
I have to write some data into text file. The data is some thing like this
Integer: 1107, float: 53.141590,A character: s
Integer: 1109, float: 53.141591,A character: c
Integer: 1110, float: 53.141593,A character: f
Integer: 1111, float: 53.141594,A character: B
Now After writing this...
HI
Thanks for your valuable reply
So now I have decided to go for system verilog.
SO what are the pre requisites for learning systemverilog??
I know verilog is must but is C++ also required??
Hi
Guys I want to learn systemC . but I am confused between system verilog and systemC which language should I learn??
which language has brighter future or both have equal importance?
Hi
I need Help in Calculation of True Positive True Negative False Positive False negative values in Matlab ??
On the INTERNET I could only found for 2 Class system, I have 6 class system
So anybody knows about this??
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.