Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sai geethika

  1. S

    lookup table using vhdl:

    I need a help regarding a bit of lookup table program provided below: case theta_int is when 45 => sin_data_int <= to_signed(99,12); cos_data_int <= to_signed(1439,12); what is the significance of "to_signed(99,12) and to_signed(1439,12)" ? I am unable to understand that one...
  2. S

    lookup table using vhdl:

    Hai! How to convert binary bits (eg."00") to digital symbols(eg."1/sqrt(2) ) using lookup tables in vhdl coding? Can anyone help me out please:-)
  3. S

    Let's collect as many "Useful VHDL Function" as we

    can u share me cordic algorithms and trignometric functions........:smile:
  4. S

    vhdl code for qpsk & qam:

    Hai srini ! Thanks for ur suggestion. Can u just reply me to this id: saigeethika@ymail.com
  5. S

    vhdl code for qpsk & qam:

    Hi! I am new to vhdl coding. Can anyone provide me vhdl coding for qpsk & qam.

Part and Inventory Search

Back
Top