Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rudder

  1. R

    FOR !error: generic or port clause in a block statement is not supported! thanks !

    not solved ,but thanks everyone who viewed the topic!
  2. R

    FOR !error: generic or port clause in a block statement is not supported! thanks !

    FOR help !error: generic or port clause in a block statement is not supported! library ieee; use ieee.std_logic_1164.all; entity full_adder is port(a,b:in std_logic; cin: in std_logic; co: out std_logic; s: out std_logic); end full_adder; architecture rtl of full_adder is begin...

Part and Inventory Search

Back
Top