Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rillyxue

  1. R

    gm vs id method of designing

    i need it now, thanks a lot, very good!gm and i-v curve is very usefull in ana design...
  2. R

    large R value with polysilicon resistor

    i think , some r in series will be better, it is easy to layout.
  3. R

    How to simulate the loopwidth of PLL?

    you can run simulation of lock or setting time of pll , and compute loop filter bandwidth from lock time.
  4. R

    How to simulate PLL's locking time?

    run trans in spectre or .tran in hspice, and see vcontrol voltage or see the two input signal of pd/pfd.
  5. R

    Ring Oscillator with Delay

    using a pd start control circuit before your pd. i have designed such a circuit.
  6. R

    Designing a bandpass elliptic filter

    elliptic lc filter synthesis Step1. from system requirement , we choice a best filter type. Step2. from filter spec, compute N and(N round up to an integer). Step3. compute a. Step4. compute sinh a, cosh a. Step5. compute filter’s poles. Step6. compute pole frequency and pole quality factors...
  7. R

    Capacitor Mismatch reasons, random edge variation

    Re: Capacitor Mismatch you can find a report in foundry's website about capacitor mismatch. and from this data, you can decided your capacitor used in your design.
  8. R

    Generating the bias voltage of an op-amp

    Re: Bandgap Reference use ldo is not a good way, you can use a bandgap to generate a bandgap current source , and then use this current source to generate a bias voltgae.
  9. R

    Problem with input impedance of post layout LNA

    Re: LNA post layout please check the Q of the input match network. and check if the peak frequency is varify from the wanted frequency.
  10. R

    NF problem of (Tx/Rx switch + LNA + down mix1) + down mix2

    a very good topics. Added after 53 seconds: i think it may be some hamonics.
  11. R

    Beginner in analog circuit design

    electronic principles malvino english rapidshare YOU can read <cmos analog integrated circuits design>, written by razavi.
  12. R

    e.g. cadence on pc with xp, solution?

    first,setup xp. then, setup VMWARE. last setup linux in VMWARE.

Part and Inventory Search

Back
Top