Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rijudg

  1. R

    Synopsys Design Compiler not responding to set_load

    Thanks! I was not setting output_delay and so no matter how much load capacitance I put in, the output delay requirement was always satisfied I think. I put in a set_output_delay and now depending on the load capacitance, Design Vision complains about negative slack sometimes.
  2. R

    Synopsys Design Compiler not responding to set_load

    But my cells are custom small sized cells built in IBM 32nm and characterized by me using the Encounter Library Characterizer. Does this mean that during that characterization I messed up something? What should I see when I increase set_load............do I see some slacks not being met...
  3. R

    Synopsys Design Compiler not responding to set_load

    I am synthesizing some designs using my own custom cells. I am trying to see how different parameters like clock and load affect the synthesis and / or timing. The issue is, no matter what I do to the set_load parameter, my synthesis never complains or never reports a SLACK violation. However...
  4. R

    Encounter DFF Functionality

    Hi, I am trying to get a DFF characterized through Encounter Library Characterizer and am having problems with a DFF. First, I have successfully generated ECMS timing information for combinational gates. I needed to write gate files to make the tool identify the gate. Now I have a resettable...

Part and Inventory Search

Back
Top