Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rangans

  1. R

    Blocking & Non- Blocking

    Both will give errors in PKS shell, cadence's simulator. The first is worng as you are trying to use two non-blocking assigments to assign different values to the same variablw in the same time step which is not possible. The second gives asn error because you are trying to combine a...
  2. R

    Modelling DFF with set and reset using normal DFF and logic gates

    Re: question about DFF What shahal mentions wont work as the effect of set or reset has to stay even after set/ reset is gone till the next data comes in. One way to do this for a synchronous reset/set is to do what shahal mentioned (OR for set and AND for reset) but do it with the D pin (input...

Part and Inventory Search

Back
Top