Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rameshsuthapalli

  1. R

    [DFT] IDDQ Testing Fault Coverage

    The IDDQ target is to cover maximum no of states for each logic gate in given design. we no need to observe any fault outside. Hence is just a toggle profile. due to these reasons the IDDQ coverage is high with lesser patterns.
  2. R

    Fast to slow clock synchronization help

    Hi, you can use synchronization circut. Though it is synchronous designs. thanks, ramesh
  3. R

    Recommend me books about Physical Design

    PD hi guys any one have this book. Please send me i need it for my M.S study. Thanks, ramesh
  4. R

    Clock definition after inserting DFT signal

    about dft signal Hi, in DFT insertion the clock definition is based on your sepc.if you want to use single clock as a scan clock u can define that clock "clk" as u r scan clock and the tool will fix the "clk2", "clk3" with the "clk" in dft mode. The clk should be a primary input. if...
  5. R

    What is a JTAG and what is it used for ?

    JTAG ? Hi, The main purpose of the jtag at the time of discovering is to detect the inter connection between the extrnal world to the core logic in the chip. but now a days jtag is also using for the chip programing and results checking and all type of advanced programing in chip...
  6. R

    Detailed explanation of the SpareCells

    SpareCells Hi, spare cells are the cells by which u can implement any type of logic with some amount limitations.for spare cells we will connect clocks and power but we will not connect the o/p of spare cells and input of spare cells to any net. such that when we want to implement any...
  7. R

    anybody use SYNTEST for DFT

    Hi Gold, The virtual scan is for the test vector compression.The debug will be available in the turbo scan only. regards, ramesh.S
  8. R

    anybody use SYNTEST for DFT

    hi Gold, in the syntest u will have a debugg mode to trace the problems in command mode.it will support only command mode. regards, ramesh
  9. R

    High fanout, but no timing violation. Must fix?

    Hi, If you see the logfile of the PT it will give that the load of the following net has been taken as x (small) value compared to the actual fanout value for caluculating the delay of the net.because of which u may not fine the problem in timing but in the actual case the cell may not...
  10. R

    any have done at-speed testing with occ controller?

    at-speed occ Hi, IF you are not getting the two pulses in the capture mode means check the following. 1. wether u r PLL(OCC) is genrating the clock are not. 2.if it genrates the clock then see the clock slicer is working properly r not. 3.if the slicer is genrating the two pulses then...
  11. R

    design for testability : JTAG

    hi, you can get that information by observing the TCK clock fanout tree.if there are some flops that are there on the tck clock then mostly u r design has jtag. Regards, Ramesh.S
  12. R

    anybody use SYNTEST for DFT

    hi, I have previously used the syntest.the DFT have bright feature.But for the syntest u will get a manual which will explain every thing about the tool how to use that. so u can use the tool with the user manual. Regards, Ramesh.S
  13. R

    Anyone have done transition DFT testing?

    Hi, we have to genrate the transhition faults frist since we can use TFT pattrens to detect S@faults. but we cannot use S@faults to detect transhition faults. Regards, Ramesh.S
  14. R

    What is the difference between Simulation and STA

    HI Funzero, can you post the logfile hear such that we can look into that and design what is the problem. Regards, Ramesh.S
  15. R

    Information about BIST and MBIST

    BIST Hi, The Bist name it self tell u that "Built in self test".so for that there should a controller which will follow some algorithems to genrate the input stimulie to find the fault in design and also matchs the responce with good machine. if the BIST is designed for the Memories...

Part and Inventory Search

Back
Top