Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rafimiet

  1. rafimiet

    Declaration of a constant that chooses among other constants of different sizes in vhdl

    There is nothing wrong with i_data or o_data... I am handling my port definitions very well as per my requirements in a broader sense... I am just asking you about how to make my constant c_mult a generic one. I got the point, you don't have an answer to my question. Or let's say my question...
  2. rafimiet

    Declaration of a constant that chooses among other constants of different sizes in vhdl

    As seen in the thread #7, pkg_TrCoeffMatrix.vhd consist of multiple constants. These constants are used as one of the two inputs for matrix multiplications. Now as matrix sizes changes, so does the size of these constants. We need to choose an appropriate constant. The question is: Is there a...
  3. rafimiet

    Declaration of a constant that chooses among other constants of different sizes in vhdl

    The package is as follows: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pkg_TrCoeffMatrix is subtype t_rom2 is integer; type t_rom_vector1 is array (natural range <>) of t_rom2; type t_rom_vector2 is array (natural range <>) of t_rom_vector1...
  4. rafimiet

    Declaration of a constant that chooses among other constants of different sizes in vhdl

    It simply means that the prefix g_ is a generic. You had asked for multiple keywords with prefix g_. Moreover, you can refer to the code to get answer to your primary question. I am trying to use a constant in a generic way. Please refer to the original question in thread #1. I am trying to...
  5. rafimiet

    Declaration of a constant that chooses among other constants of different sizes in vhdl

    The final version of the files is as follows ( I haven't given the definition of constants after c_dct2_b8, as it will unnecessarily increase the length of the code and it is intuitive) : library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pkg_TrCoeffMatrix is --...
  6. rafimiet

    Declaration of a constant that chooses among other constants of different sizes in vhdl

    I am trying to make a generic design for a filter. The filter works on different sizes of inputs from 2x2 to 64x64 and therefore the input needs to be multiplied by a coefficient matrix of corresponding sizes. I tried the following: subtype t_dim1 is signed; type t_dim1_vector is array...
  7. rafimiet

    [DCT] What parameters can be tuned in the DCT for performance ?

    Discrete Cosine Transform needs an input array and a transform coefficient matrix... I guess you are asking for the values of the coefficient matrix. It depends upon which DCT you are using. For an N-Point DCT, you can refer to the following paper: (They have given the coefficient matrix as...
  8. rafimiet

    [SOLVED] object cannot be indexed because it has natural type rather than array type

    I am getting the error: Error(13692): VHDL error at generic_multiplier.vhd(66): object cannot be indexed because it has natural type rather than array type The code is as follows: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library vvc_lib; use...
  9. rafimiet

    Error(175005): Could not find a location with: IO_FUNCTION of GPIO (1 location affected)

    I am trying to use the HDMI Out port of Intel Arria 10 FPGA board. I am using a pattern generator, and a serializer and finally the out is used to derive the desired output pins. It is showing the above mentioned errors. The desired files and the complete quartus project are attached.
  10. rafimiet

    Hardware Utilization Efficiency

    Given the hardware utilization of a design using FPGA, how can we calculate its Hardware Utilization Efficiency (HUE)?
  11. rafimiet

    Interfacing Python C1300 Camera Module with Zedboard

    I am trying to interface my Python C 1300 camera module with Zedboard via AES-FMC-HDMI-CAM. The UG that can be found at http://microzed.org/sites/default/files/documentations/BD-CAM-PYTHON1300C-B%20User%20Guide%20-%20v1.0-1.pdf does provide the type of control, video and power signals, but I am...
  12. rafimiet

    [SOLVED] How to feed a grayscale image data to VGA output of an FPGA

    Yes I get grayscale results, but the output image frame is so noisy as compared to actual image.
  13. rafimiet

    HSYNC and VSYNC in HDMI out port of Zedboard

    I read some user guides about HDMI out port. They provide the detail about total frame size, for given frame rate, given actual image size. But I want to know following: 1. For how much time H_SYNC/V_SYNC remains high? 2. Back porch? 3. Front Porch? Can some one reply for the same?
  14. rafimiet

    [SOLVED] How to feed a grayscale image data to VGA output of an FPGA

    That means some of the information has to be lost.... What about HDMI port? Do we lose some info there as well? if we have a gray scale image of 8 bit each pixel?

Part and Inventory Search

Back
Top