Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by pranky pranky

  1. P

    FATAL ERROR while simulation in xillinx

    I am designing 70 bit carry look ahead adder in xillinx using ISIM SIMULATOR 14.5 on spartan 6 with device xc6slx45 and package csg324 .when i simulated it ,i got a fatal error same as below:- FATAL_ERROR:Simulator:Fuse.cpp:217:1.95 - Failed to compile one of the generated C code. Please...

Part and Inventory Search

Back
Top