Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by palermo

  1. P

    [SOLVED] Delta sigma modulator with asymmetrical step sizes

    FYI: It was a switch problem. When I implemented ultra low resistance switches, it has vanished.
  2. P

    [SOLVED] Delta sigma modulator with asymmetrical step sizes

    hi, i use d-ff from ahdlLib, which is ideal and does not load the circuit.
  3. P

    [SOLVED] Delta sigma modulator with asymmetrical step sizes

    Dear community, I try to design a delta-sigma modulator. I have fully differential structure and the amplifier is simple folded cascode opamp w/ nMOS input devices. When I implement a sole switched cap integrator out of these, everything works very well. However, when I modify the structure...
  4. P

    Delta-sigma modulator runs well for a while then sticks to rails

    Do you mean generally or specific for the simulation of this circuit?
  5. P

    Delta-sigma modulator runs well for a while then sticks to rails

    No it's clear, I didn't know that we can do that indeed. Well, after a successful simulation for 50ms, when I say direct plot, it does plot only until the time instance it gets stuck, i.e. until 18ms for above figure but not until 50ms. When I import this data to Matlab, I see this figure. The...
  6. P

    Delta-sigma modulator runs well for a while then sticks to rails

    No, above the figure is the output of the vsine source from analogLib.
  7. P

    Delta-sigma modulator runs well for a while then sticks to rails

    I have figured out what the problem is: The input voltage stays constant after a while!?! Somebody help!
  8. P

    Delta-sigma modulator runs well for a while then sticks to rails

    The comparator is latched. I implemented it as a d_ff following the comparator.
  9. P

    Delta-sigma modulator runs well for a while then sticks to rails

    When ±Vref is connected to the inputs, the output signal is (i.e. output of d flip-flop) is connected to the switches. Why should it be reset?
  10. P

    Delta-sigma modulator runs well for a while then sticks to rails

    Assuming a symmetric supply (i.e. VSS = - VDD), the half supply range is used as the Vref and -Vref (i.e. Vref = VDD/2 = |VSS|/2). The input amplitude is also limited to the same range. In fact, the sum of Vref and Vin is maximum 0.8 x VDD/2 What exactly do you mean by resetting the output...
  11. P

    Delta-sigma modulator runs well for a while then sticks to rails

    Dear community, I have designed a 1st order 1-bit delta-sigma modulator. I have implemented the fully differential architecture proposed on p31 of Schreier's "Understanding Delta-Sigma Data Converters" book. After running the simulation in Cadence, I import the data into Matlab to process it...

Part and Inventory Search

Back
Top