Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Re: Could we write "CLK" based statements in packa
Yes you can use .
i have used clk in PKG. Even i have made my own function "rising_edge" and falling_edge". it works....
Re: Beginner............
go to analog device's web page and find Book DSP for engineer and scientist. its very good book. if dont find then let me know ....
Hi marco antonio
There can diffrent grounds in your circuit. its all depend on the verity of component's VCC supply.
Say for Example If you use a chip that works on 3.3v then circuit will have a groung reference of 3.3v as all the cureent will return to this referrence only.
like wise if...
Hi
Can any one help me , how to do Post synthesis simulatiion with Xilinx ISE simulator in ISE 8.2.
this ver of ise has options like "Behaviour simulation" "synthesis and implemetation" etc.....
i am able to do Behav Sim and post P&R simulation but not Post Synthesis...
p
nitin
Hi Does any one has this book
Computer as components principles of embedded computing system design
Wayne Wolf
(morgan Kaufmann Pub.)
i badlly need this book
nitin
Hi avimit
Needed ??? meas....there is a perameter Tsu. this time relation has to be maintain with respect to clk....
Can any one tell me what is theory behind hold time..
thanks
Hi Swapnil_vlsi what u told is defination of setup and hold time.
my question is theory behind Tsu and Th.
Tsu needs to charch the cepacitor ..
next Q. is why Th is requored...
Re: vhdl for counter
You can modify for need by adding a signal say "Up_down".
--------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity counter is
port
(
clk:in...
Do design sync reset in VHDL write
Presess(clk)
begin
if clk'event and clk='1' then
if reset='1' then
D<='0';
else
D<=Q;
end if;
end if;
end process;
-----------------------------------------------
Do design Async reset in VHDL write
Presess(clk,reset)
begin...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.