Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by niciki

  1. N

    Codewars like website, but for Verilog!

    This thread is for the general discussion of the blog entry Codewars like website, but for Verilog!. Please add to the discussion here.
  2. N

    [SOLVED] AXI burst writes - how is it done by embedded sw developers or what does it mean from the sw point of view?

    Yes - DMA Memory-to-Memory, Peripheral-to-Memory or Memory-to-Peripheral. For example in Zynq at PS side (firmware) an address map is known from Vivado and DMA is used to perform AXI burst transfers under the hood (from address X transfer N bytes to address Y with specified width and if...
  3. N

    using 2bit data with OSERDESE3

    I would use FIFO with different input and output widths and clocks. FIFO In: 2 bit@40 MHz FIFO Out: 8 bit@10 MHz FIFO Out would go to OSERDESE3 as input. Note that OSERDESE3 could have DATA_WIDTH = 4 or 8 -> XAPP1324 (v1.1) August 23, 2018, page 9.
  4. N

    ZYNQ-7000(XC7Z035 FFG676) Device Model in VIVADO

    @ads-ee you are right. Better for me to write nothing than to write something that is not true. ZedBoard has not only device-locked, but also node-locked license (description below based on Basys 3): https://digilent.com/blog/device-locked-node-locked-vivado-design-edition-what-does-it-all-mean/...
  5. N

    ZYNQ-7000(XC7Z035 FFG676) Device Model in VIVADO

    Despite what @ads-ee said: If you are a student and you received that boards from your teacher, ask him for the license for you. I know that, if you buy the ZedBoard, you receive the 1-year full license for Vivado for all FPGAs. In addition, ZedBoard's FPGA (XC7Z020) is supported by WebPACK...
  6. N

    FPGA Board Files on VIVADO

    I would suggest to look for github or gitlab repositories. Have you checked e.g.: https://github.com/alinxalinx ?
  7. N

    How is the block design utilizing a specific IP core supposed to be designed when using the IP C code examples in Vitis?

    If I understand you correctly, you are looking for a so called "Vitis Platform Creation" - hardware created in Vivado and then exported to Vitis (a successor of Xilinx SDK). Vivado example design...
  8. N

    [Keil][VSCode] Keil integration into VSCode

    I have updated the repo: (1) Changed from -j0 to -j8 (2) Added note about Python 3 and the way to satisfy all Python's requirements used by this toolset (3) Still to come in next release... (4) Updated summary with more general RegEx for data/time
  9. N

    [Keil][VSCode] Keil integration into VSCode

    The reason why it shows nothing is the date format. I must to change regex to be more general - it is now expecting format "YYYY.MM.DD" or "YYYY-MM-DD" and not (what is in your case) "Day DD/MM/YYYY".
  10. N

    [Keil][VSCode] Keil integration into VSCode

    Hi coarist, Thank you very much for your post. I am happy that you managed to build your project in VSCode after all the hassle. Could you write all your issues here: https://gitlab.com/niciki/keil_integration_with_vscode/-/issues ? It will be easier for me to fix them with gitlab workflow...
  11. N

    [Keil][VSCode] Keil integration into VSCode

    I have added more description to this point in this blog entry.
  12. N

    [Keil][VSCode] Keil integration into VSCode

    Hi mrtombou, Make sure you have a C/C++ plugin installed to VSCode. Then hit F1 and start typing "select conf". You should see "C/C++: Select a Configuration..." below. Click on it and choose your target.
  13. N

    Dealing with timing issue in ZYNQ7000

    First of all I would suggest to use Synthesized Design Constraints Wizard - to check if unconstrained clocks or unsafe clock's domain crossings exist: https://www.xilinx.com/video/hardware/using-vivado-timing-constraint-wizard.html
  14. N

    Simulation code for project with Aurora 8b10b in Vivado.

    Have you checked Aurora Example Design and it's simulation?
  15. N

    FPGA Internal RAM Module

    Another option for non volatile memory would be MRAM (Magnetoresistive Random Access Memory) - IMHO promising for the future use.

Part and Inventory Search

Back
Top