Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by natasha_a

  1. N

    Synopsys Design Compiler: Setting a maximum critical path delay on sequential circuits

    interesting. in the case that it is option 2, what additional constraints would be required? are these additional parameters/flags that I need to set? I'll check my logs and post an update. thanks!
  2. N

    Synopsys Design Compiler: Setting a maximum critical path delay on sequential circuits

    Yes, I've defined a clock period of 1 ns for all clocks in my module.
  3. N

    Synopsys Design Compiler: Setting a maximum critical path delay on sequential circuits

    I have a couple of designs written in Verilog that I'm trying to synthesize with Synopsys DC Compiler. Specifically, I would like to maintain a 1ns upper bound on my critical path delay (CPD) on my designs — I have been able to successfully synthesize these designs with yosys (open source RTL...

Part and Inventory Search

Back
Top