Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by n3utr0

  1. N

    VHDL - clock rising and falling edge affectation

    vhdl clock enable Hello, is it possible to affect the same signal in a rising edge and then falling edge of the same clock? for example: if (clock'event and clock='1' and enable='1') then s1 <= 0; end if; if (clock'event and clock='0' and enable='1') then s1 <= 1; end if;

Part and Inventory Search

Back
Top