Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL - clock rising and falling edge affectation

Status
Not open for further replies.

n3utr0

Newbie level 1
Joined
Mar 17, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
vhdl clock enable

Hello,

is it possible to affect the same signal in a rising edge and then falling edge of the same clock? for example:


if (clock'event and clock='1' and enable='1') then

s1 <= 0;

end if;

if (clock'event and clock='0' and enable='1') then

s1 <= 1;

end if;
 

vhdl rising edge clock

Not in synthesis, cause FFs triggered at both edges don't exist, except in some CPLD families. There are replacements, that utilize two FFs and combinational logic. See a link in in one of the various same topic edaboard threads.
 

vhdl rising edge

such as coolRunner II CPLD by xilinx
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top