Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mpefareo

  1. M

    Question about pointer to array in VHDL

    I have already raised WebCase. Waiting for reply. ISIM start to irritate a bit, very difficult to do the proper simulation and debuging, it can't be compared to ModelSim functionality. The design will bee too complicated for using with Free edition of ModelSim. GHDL has not got Xilinx...
  2. M

    Question about pointer to array in VHDL

    Thank you for your answer. Yes I'm doing it in dma_map function p_a_sg_list := new p_a_sg_list_t(0 to pdma(id).sg_table.sg_len - 1); for i in 0 to pdma(id).sg_table.sg_len - 1 loop p_a_sg_list(i).len := pdma(id).sg_table.sg_desc(i).dma_len; p_a_sg_list(i).va :=...
  3. M

    Question about pointer to array in VHDL

    Sorry for not giving all the information. Sorry about tags, About coping the stuff from software, yes I do it deliberetlly, supporting 2 ideas is difficult, that is way I decided to copy concept from c to vhdl, and it is only for simulator models nothing else this peace of code was not supposed...
  4. M

    Question about pointer to array in VHDL

    What I was going to do in VHDL is to define a pointer to array, later dereference that pointer to a particular index and assign it to approp variable I have got this code: The idea is to assign pointer to array of sg_list_t to sg_list_t variable: type sg_list_t is record va ...

Part and Inventory Search

Back
Top