Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by moottii

  1. M

    Send data to client without request

    What do you mean by "Within a network segment"? Also I saw some say about "Persistent Connection". Is it possible to use "Persistent Connection" for this?
  2. M

    Send data to client without request

    Hi In regular request/response between client and server, first a client initiates a request to server, then server responses. Assume that the server always knows the IP address of its clients (note that clients have dynamic IP address). Is it possible to send data to them without request from...
  3. M

    Battery Management System IC

    Thank you guys So if I'm not wrong, all the cells in one pack must be similar (max 1% mismatch) for desired functionality of the pack. Series cells are controlled continuously with analog to digital converters (inside the BMS IC). Since BMS IC sees all the paralleled cells as one single cell, we...
  4. M

    Battery Management System IC

    Since resistance and capacity of each cell is different, I thought parallel cells will overcharge/undercharge during charge/discharge of battery. Could you explain how paralleling doesn't damage cells? Thanks
  5. M

    Battery Management System IC

    Hi! I want to use an IC for Battery Management System like bq76PL536(Texas Instrument product). I have to control 4s8p (4 series*8 parallel) cells.. It's something like this: I'm aware that these kinds of ICs are designed for series cells. But my question: is it practical and possible to...
  6. M

    [SOLVED] NIC teaming solution (HW/SW)

    For what purpose?
  7. M

    implement a simple CPU using verilog code into altera DE2 board

    Probably an internal RAM is used. Can you please share your code of RAM?
  8. M

    implement a simple CPU using verilog code into altera DE2 board

    You need QuartusII software. Install it synthesis your code in quartusII connect your board to your pc select: tools -> programmer and at last click program Also you can read your "User Manual" of your board and also you can google it
  9. M

    Multiple processes in vhdl..

    Dear TrickyDicky, I surely didn't/don't/wont compare HDL languages and C. I just meant inside processes, instructions are executed sequentially like C.
  10. M

    Multiple processes in vhdl..

    As you know VHDL is a HDL language and HDL languages are executed concurrently. But there is a feature in HDLs that allows you to write your code like traditional languages(C, Java, ...) and that is "process" keyword in VHDL. Also processes are executed concurrently. In fact compiler counts...
  11. M

    VHDL code PROBLEM ,how to create shift left operation without register in vhdl

    Use SLL I think your operands are "std_logic_vector" SLL is defined for "bit" type first convert your input to "bit" type and then use SLL
  12. M

    How to transmit one bit in a serial register, only once in an applied input duration?

    Look at the below code signal s_reg, s_next: std_logic_vector(3 downto 0); process(input) begin if(input'event and input = '1')then s_reg <= s_next; end if; end process; s_next <= input & s_reg(3 downto 1); output <= s_reg(0);
  13. M

    How to transmit one bit in a serial register, only once in an applied input duration?

    Why don't you make your shift register sensitive on your rising/falling edge of input?
  14. M

    best choice for programming PC software

    As far as I know NetBeans supports C with a development packet. Google this to know the details I have written many things in NetBeans. But if you wanna try something, I suggest you to search the net, because there are better and more understandable codes than mine.
  15. M

    best choice for programming PC software

    Do you mean you wanna see a piece of Java code? Yes of course. You can manually adjust (size, color, ...) your GUI (I mean by code) or you can do it visually like VB. For example look at the below picture. It's a very simple calculator that is written in NetBeans with Java

Part and Inventory Search

Back
Top