Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by miroseh

  1. M

    Creating clock based on a signal's state change

    Hi all, We have the signal clk_27mhz on DE2 board. But I want to create a different clock signal to be implemented as a clock for a shift register. Q ________----------------_________ (period in seconds) clk _______--____________--_______ (active high in microseconds, eg 5us) Could you give me...
  2. M

    4-bit up/down counter fault

    Hi everyone, I am writing a block code via the gate level model for a 4-bit up/down synchronous counter. The counter should be able to count up to a certain number (which is not larger than 15) predefined by a 4-bit input, and to count down from a certain number as well. I used TFF in my...

Part and Inventory Search

Back
Top