Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mepriyasingh

  1. M

    [moved] Uncertainty on generated clock and extra margin

    My "Extra margin" term concern with: uncertainty_value = skew + jitter + "Extra margin".
  2. M

    [moved] Uncertainty on generated clock and extra margin

    2) I think this "Extra margin" might be more than "safety margin".
  3. M

    [moved] Uncertainty on generated clock and extra margin

    1. What will be the uncertainty value at the generated clock, will it remain same or more than the master. 2. What is the exact significance in "extra margin" in set_clock_uncertainty" (fairly confusing)?
  4. M

    Increase clock gating

    how can I tell synthesis tool that I need as many as clock gating? Is there any way to increase clock gating?
  5. M

    how to fix setup and hold on same path

    sure to fix hold violation we add delay cell. but looking for some numbers. and some more explanatory abt setup also. example: I have a reg2reg hold is violated by -50ps in ff corner and the same path has the setup margin of +100ps in ss corner also suppose clock period of 1ns (with any...
  6. M

    how to fix setup and hold on same path

    example: I have a reg2reg hold is violated by -50ps in ff corner and the same path has the setup margin of +100ps in ss corner. (with any consideration of crosstalk analysis) How to fix this?
  7. M

    Disable timing and false path

    Like i have loop, why i can't disable with false path?
  8. M

    how to fix setup and hold on same path

    Thanks oratie, Like if we do not consider crosstalk, is it possible. @90nm. as i understand only either setup or hold will violate. Thanks
  9. M

    how to fix setup and hold on same path

    yes its looks basic question. Is it possible to have both setup and hold violation on a reg2reg path. if yes how to fix?
  10. M

    how to fix setup and hold on same path

    Hi, how to fix setup and hold on same path, if we fix hold increase setup violation, and if we fix setup increase hold violation.
  11. M

    [SOLVED] lec only fail 1030 dff ad bbox 9

    during synthesis netlist compile convert dff to 625 dlat .and in ,lec l am using set_flatten -gated_clock, but all latch are unmapped points. i am not able to understand why? and which modelling i need to use. please some body explain
  12. M

    [SOLVED] lec only fail 1030 dff ad bbox 9

    lec fail only 1030 dff and 9 bbox .. please can any body help me..
  13. M

    how to identify timing loops in design compiler

    i want to know that if suppose i found that there are 5 timing loops in my design by loop report. then how can trace the input and output of timing loos.

Part and Inventory Search

Back
Top