Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by maurolarrat

  1. M

    VHDL - sending an indexed array to a std_logic_vector port.

    Hi, friends. I have a question about incrementing the index of a array of std_logic_vector, and then associating it to a register that are related to an output port of the entity. The array has 16 elements of (7 downto 0) std_logic_vector. I hope you can help me to understand what I...

Part and Inventory Search

Back
Top