Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lokesh@88

  1. L

    fixed point representation

    i used library IEEE_proposed; use IEEE_proposed.fixed_pkg.ALL; but during synthesis for spartan 3e it shows error.Line 23. Library IEEE_proposed cannot be found. Line 24. Library IEEE_proposed is not declared. can u help me.
  2. L

    fixed point representation

    i have already used this lib my qu. is how to multiply std_logid_vector to fixed point can you explain thrgh any example.
  3. L

    fixed point representation

    i have two input first one is in std_logic_vector and other is in fixed point, i want to multiply it ,can you help me how is it possible?
  4. L

    fixed point to std_logic_vector conversion in vhdl

    plz forward your whole code of fixed point rom/////////
  5. L

    fixed point representation

    sir i want to convert fixed point to std_logic_vector, plz help me
  6. L

    fixed point representation

    sir i want to design one model where input and output both are fixed point . if we will give some selected input then output should be its corresponding output. i have written a code is it write? library IEEE_proposed; use IEEE_proposed.fixed_pkg.ALL; entity inv_q is port ( address : in...

Part and Inventory Search

Back
Top