Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lakshmisnair933

  1. L

    sinewave generation in verilog using look up table

    i need to generate a sinewave as carrier signal for performing digital modulation.code using sinefunction is not working.so i thioght of using lookup table.please do help me to write code in verilog.
  2. L

    generation of sine wave in verilog

    I want to generate a sine wave as carrier signal for performing BPSK,BASK,BFSK MODULATION using verilog. i've tried some codes using lookup tables. But it is not working as planned. so please help me with coding. thanks in advance.
  3. L

    how to generate sine wave in verilog without lookup table

    how to generate sinewave with verilog code

Part and Inventory Search

Back
Top