Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ktnha

  1. K

    error maxplus II: help me.

    error: help me. reg <= (others =>(others => '0')); I don't solve my issue. I must to use maxplus for my design. please, help me.
  2. K

    error maxplus II: help me.

    I write code with maxplus II version 10.2: ..... architecture rtl of sys is type regtype is array(0 to M-1) of wrdtype; signal REG: regtype; signal RW: std_logic_vector(1 downto 0); begin ... process(...) begin .... for j in 0 to...

Part and Inventory Search

Back
Top