Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kiranks9

  1. K

    ATPG DRC S14 voilation

    Hi, I am facing S14 DRC voilation during ATPG. I gone through Synopsys Manual but didnt get much info. If anybody come across S14 DRC voilation. Please let me know. The S14 is "Excessive scan cell states due to cell_type instance_name (gate_id) in chain scan_chain". Thanks Kiran K S 98866...
  2. K

    Scan Chain Test Pattern of ATPG tool

    Scan Chain Test Pattern All ATPG tool generates the chain test pattern in the form "001100", why is it so? what is signifcance? why cant generate the 0101010 or "000111000" or other pattern Kiran KS
  3. K

    Tester clock - two clocks: 240Mhz and 1.2GHZ

    Tester clock. In IP, there are two clocks one is at 240Mhz and other one 1.2GHZ. I generated the patterns and how to check the patterns in tester? becuase Tester should not support such high frequency. I know one solution is using these clock, run at slower frequency i.e shift frequency. Is...
  4. K

    Help me with ATPG && test pattern simulation

    First check the scan chain pattern is passing or not? if it pass, then check which pattern is failing. Before that check properly all u r library cellls proper ot not? it is very important. Do paarallel simulation, check which flop is failing, transcript will give clear picture where it is...
  5. K

    StuckAt has more coverage numbers than Transition Dealy

    Coverage Numbers Hi StuckAt has more coverage numbers than Transition Dealy? Please explain in details other than the fault model and algorithm?
  6. K

    DRC Violation - C1 rule violation in ATPG(FastScan)

    DRC Voilation Hi Regarding the DRC violation, during the scan insertion solved all drc violations like Scannability rules(S1, S2 in DFTAdvisor). Can we get C1 rule violation in ATPG(FastScan) during pattern generation? If Yes, please explain.
  7. K

    Why ATPG coverage is more than the Transition Delay?

    Coverage Numbers Anyone explain in detain Why ATPG coverage is more than the Transition Delay?
  8. K

    DFT Questions and FPGA based design

    DFT Questions 1)Is it recommend to have tri-state bus in the design, if so what precaution needs to be taken during design 2)Functionally it is taken care that the existing tri-state bus drivers can never create bus contention. Is this sufficient for Scan shift and capture? Do we need to take...
  9. K

    Can latches be part of scan chains, please explain.

    latches in DFT Can latches be part of scan chains, explain.
  10. K

    Regarding the Compression Techiques in Design for testabilit

    Hi All Anybody worked on both DFTMAX(Synopsys) and TestCompressor(Mentor Graphics)? What exactly difference between both? what are the merits and demerits of both tool? Please let me know
  11. K

    What is Analog DFT? how does it differ from general DFT?

    Re: Analog DFT please let me know general flow for the Mixed signal DFT what u have done
  12. K

    What is Analog DFT? how does it differ from general DFT?

    analog dft Hi All Please let me know What is Analog DFT? and how differ from the general DFT? What is general floe for the the Analog DFT?
  13. K

    requirements for scan insertion from the design team ?

    scaninsertion I am doing first time scan insertion. What are the requirements for scan insetion from the design team or front end team, TEST point of view
  14. K

    Fast scan command - when logic instances are set as nofault

    fastscan nofault While running Fastscan with the hier Netlist, few instances (UO_DFT\A as an example) are marked as nofault. As I understand the under the instance the whole of the combo logic and the sequential logic are considered as Black Box by the ATPG tool. Now that the hier...
  15. K

    mutiple clock domain , method to avoid clock skew

    lockup latch ocv There are two clocks in a design, when we are doing scan insertion, adding lockup latches for avoiding the Clock Skews. Is there any other method to avoid clock skew in multiple design other than lockup latch? please let me let know

Part and Inventory Search

Back
Top