Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Khurram1965

  1. K

    convert high voltage dc to low voltage dc

    how can I get 12V (30 ma) dc from 400V dc
  2. K

    merge two or more vhdl code

    Hello all Here are two vhdl codes (code-1 and code-2) both are separately work correctly, I wish to merge both codes please help for this. code-1 --4 BIT BINARY COUNTER library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port( clk: in std_logic...
  3. K

    Required VHDL code for shift register

    Thank you, I will try soon.
  4. K

    Required VHDL code for shift register

    Respected Fellow i have write following code, it is synthesis successfully, but there is some missing in code for my requirement which is; Frame length = 1600 bits Frame Header = ac52 (transmit msb first) ac52 -----------(1584 bits"0")--------------ac52 -----------(1584...
  5. K

    Required VHDL code for shift register

    Thank you for response, "ac52" is header of frame, I want to transmit "msb" first. Now I am able to define my frame: Frame length = 1600 bits Frame Header = ac52 (transmit msb first) ac52 -----------(1584 bits"0")--------------ac52 -----------(1584 bits"0")--------------ac52
  6. K

    Required VHDL code for shift register

    Yes, in other words I want to transmit serially a 16 bit pre defined code bit by bit on each rising edge of clock
  7. K

    Required VHDL code for shift register

    Dear Friends, I have just start the using CPLD, I need a vhdl code for a 16 bit shift register, who serially out a 16 bit pre-defined hex code like"AC52" on each rising edge of input clock. thanks

Part and Inventory Search

Back
Top