Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jsiiiii

  1. J

    RAM/FF inferrention in ISE. Why not RAM?

    You are right, I needed to write a mux for input data. Thx for your advise! In other part of the project I'm using different addresses signal, so I needed too define another mux for addr too and one for write_enable signal...
  2. J

    RAM/FF inferrention in ISE. Why not RAM?

    info:xst:738 - I'm workin with Verilog and using ISE Compiler. My problem is RAM inferrention for a signal. ISE is impementing my signal in FF and I don't know why. The code: reg [31:0] ct_tab_start_next_n [7:0]; always@(posedgeCLK) if (ag_start)...

Part and Inventory Search

Back
Top