Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by JKR1

  1. J

    Does anyone have "paris" dataset for video processing?

    hi if anyone have "paris" dataset for video processing, please put them here. thanks
  2. J

    which version of ISE support virtex 2 xc2v6000?

    hi which version of ISE support virtex 2 xc2v6000? I test ise 8.2 and ISE 13.2. thanks
  3. J

    why this error happened to modelsim?

    hi I worked with modelsim on my laptop but suddenly this error occured every time i open it and when I press ok modelsim closed, does anybody know how can i fix it? thanks
  4. J

    how to show this vector?

    thanks for the answering TrickyDicky here is the memory declaration : type siz5 is array (0 to 192) of unsigned(7 downto 0); --type of vector containing 5 img signal memory : siz5 :=(others => "00000000"); here is the code : process(clk,wr_ena) variable addr : integer range 0 to s5 := 0...
  5. J

    how to show this vector?

    thanks for the answering. yes I meant this. would u plz help me how should I assign values to them,I wrote the following code, but it have some problems : for i in 0 to 2 loop for j in 0 to 63 loop a_var(i) := memory(63*i+j); --error end loop; end loop; thanks
  6. J

    why this error happen?

    hi why this error happen?
  7. J

    why types dont match?

    I wrote a testbench for my code but while elaborating the design I have this error but types of the component and the entity are the same,I dont know why this error is happening??? here is the entity : entity x1 is generic (m,n : Integer := 64); port(clk : in std_logic; p_in : in...
  8. J

    how to work with fixed point libraries in ISE 13.2?

    Hi, I run some fixed point codes in ISE 13.2 but it seems like ISE can not recognize fixed point libraries,does any body know what should I do to work with fixed points in ISE? thanks
  9. J

    what is that warning mean while loading simulation?

    hi can anybody help me what does mean that warning while loading simulation? Is this warning could affect the simulation ?? thanks
  10. J

    [SOLVED] how to convert fixed points to the nearest std_logic_vector?

    thanks for answering, I want to convert the number 119.7(ufixed(7 downto -8)) to 119(std_logic_vectror(7 downto 0)). I meant rounding the numbers by nearest . - - - Updated - - - this error happens when I use my_slv <= to_slv( my_ufxied(7 downto 0) );
  11. J

    [SOLVED] how to convert fixed points to the nearest std_logic_vector?

    hi is there any function for converting fixed point numbers (for example (7 downto -8)) to the nearest std_logic_vector(7 downto 0)? if yes which packages and libraries shoulld be added? thanks
  12. J

    [SOLVED] how to work with fixed point data?

    thanks for answering. there isnt any place for getting the path.
  13. J

    [SOLVED] how to work with fixed point data?

    ok,sure. do u know where should I copy the "fixed_float_types.vhd".I think I copy it in wrong place
  14. J

    [SOLVED] how to work with fixed point data?

    I have these errors - - - Updated - - - sorry,I made a mistake...I reply wrong answer.
  15. J

    [SOLVED] how to work with fixed point data?

    thanks for answering. sorry but I didnt get what u mean?

Part and Inventory Search

Back
Top