Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hw2000

  1. H

    Command in Design Compiler to get least delay

    So, my current process is the way to do it, right? Maybe I can also write a script that will reduce the delay by 10% until its not possible anymore.
  2. H

    Command in Design Compiler to get least delay

    Hi Everyone, I have a purely combinational logic that I want to synthesize. I want to get the least delay possible on this delay. For that reason, I am using the following tcl script. set search_path {./../benchmark/hyb_both/ \...

Part and Inventory Search

Back
Top