Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hector.j.cabrera

  1. H

    Warning :XST: 646 in simple Verilog Design

    Hi, I'm new using verilog, so I starter with a simple design of a 4 bit full adder: module full_adder( a, b, c_in, c_out, sum); input [3:0] a,b; input c_in; output reg c_out; output reg [3:0] sum; /*input wire [3:0] a, b, input wire c_in, output reg [3:0] sum, output...

Part and Inventory Search

Back
Top