Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hanoof190

  1. H

    Quartus 2 vhdl; Error: Node instance instantiates undefined entity.

    Can you please write it in the code I have posted at the first , I really tried many ways and I still getting an error
  2. H

    Quartus 2 vhdl; Error: Node instance instantiates undefined entity.

    How I can added these entity , because I tried to added mux21 and inv01 , and I still getting error Can you please write it as a code
  3. H

    Quartus 2 vhdl; Error: Node instance instantiates undefined entity.

    This code is about design a multiplexer 5*1 by 1*2 mux
  4. H

    Quartus 2 vhdl; Error: Node instance instantiates undefined entity.

    Yes , this is the error message , can you please explain it for me , I am new in Quartus and I have no idea about library paths
  5. H

    Quartus 2 vhdl; Error: Node instance instantiates undefined entity.

    These are the error messages I have got , could you please help me
  6. H

    Quartus 2 vhdl; Error: Node instance instantiates undefined entity.

    This is a vhdl code in Quartus , I really did everything to solve the problem but I keep got an error.. anyone can helped me library ieee; use ieee.std_logic_1164.all; library adk; use adk.all; entity mux5_1_1wide is port ( a_input, b_input,c_input,d_input,e_input: in std_logic; sel...

Part and Inventory Search

Back
Top