Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by granjan

  1. G

    can I instantiate Verilog-AMS module in VHDL architecture...

    can I instantiate Verilog-AMS module in VHDL architecture...:?::???:
  2. G

    analoglib vpwl what is delay time and dc voltage

    In analoglib .for pwl what is the dc voltage and delay time fields. Suppose my pwl is defined as below. DC voltage 1.2 v Number of Pairs of points 2 time1 0 s voltage1 6v time2 1 n s voltage2 6v delay time 10n s What does 10 ns delay mean.....Is it the initial time when the waveform...
  3. G

    [SOLVED] VHDL simulation in Cadence

    Hi , I just wanted to know what are the different simulation and compilation options for VHDL files...how to compile vhdl file using ncvhdl and do we need to create work library for vhdl file compilation.I'm new to vhdl.. any help is appreciated....:???::???:

Part and Inventory Search

Back
Top