Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by dymanojbabu

  1. D

    how to instantiate RAMB16_S36_S38

    i understood the initiation but plz whats the difference between WEA,WEB,ENA,ENB how to use address in this code.....? give me sample code
  2. D

    xilinx_process_error

    what's "process error" in xilinx.i am suffering with this error,not able to sort out the error.it showed some errors first i tried and removed everything but atlast it showed "process error.i am able to compile it in model sim.
  3. D

    urgent-syncronous-fifo-using-dual-port-in-xilinx

    2sec i wrote it in xilinx xc3s200-4ft256 using one block ram memory library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fff is Port ( clk : in STD_LOGIC; ren : in STD_LOGIC; --read enable wen : in...
  4. D

    urgent-syncronous-fifo-using-dual-port-in-xilinx

    hi i tried synchronous fifo using dual port memory in xilinx... i wrote code in xilinx but i am getting some 'process error'.....i am not able to find....if any code is there help me....regarding memory i'm using one block ram memory... i am able to run the same codw in model sim software...

Part and Inventory Search

Back
Top