Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Digit0001

  1. D

    Programming design to FPGRA board Problem

    The two files i an missing is a PROM and CPLD file, so how do i obtain them?
  2. D

    Programming design to FPGRA board Problem

    Hi My problem is when i download the programm via impact i only get the topbit however i am meant to have another file as well. Can somone tell me the solution to this? The attached pdf has the screenshots of the problem i just described. NOTE the board i am using is Spartan 3E P.S Mods...
  3. D

    Creating a Bouncing Ball program in C on a FPGRA board

    Creating a Bouncing Ball program in C on a FPGA board Hi I have having trouble on how would you make the ball move i know you would need to have something like this to move the ball, but how does this work. x = x + dx and y = y + dy Hope someone can help me P.S
  4. D

    Need Help on combining two processes in VHDL

    The temp is used to save the last count value. The purpose is because i am measuring the period of the pulse width.
  5. D

    Need Help on combining two processes in VHDL

    i have a problem when i simulate the code. The modified code does not change states and the counter does not count. This is the code i have: this includes what i have in simulator and implementation.
  6. D

    Need Help on combining two processes in VHDL

    Hi Can someone suggest how would i combine the following code into one process? The code is made up of a counter and a state machine which i want to make as one process. The problem i am having at the moment is the count will not work because it conflicts, hence i want to make it in one...
  7. D

    Problem with 4-bit ALU Design

    lets say i still want to keep the operation in tack, would i still require two case statements for the tables
  8. D

    Problem with 4-bit ALU Design

    Hi i am having trouble designing a truth table for the 4-bit ALU. The document details the specifications: My problem is how would i combine the arithmetic table with the logic table into one table? The only way i can think of is do it in separate tables This is what i have in code...
  9. D

    The Difference between the two processes

    Hi Can someone tell me the difference between the two processes? process(A) variable start : bit :='0'; begin Start :='0'; For i in 0 to 3 loop start := start or A(i); end loop; x <= Start; end process; process(A) variable start : bit_vector(4 downto 0); begin...
  10. D

    variable question in VHDL

    ok i understand now ---------- Post added at 10:35 ---------- Previous post was at 10:31 ---------- however in general cases would this happen regularly? I assume most people when designing would not want a code to wait four clock cycles to update a signal.
  11. D

    variable question in VHDL

    Hi Can someone tell me if this is true, Variables declared inside a clocked process are treated as signals for the purpose of synthesis. P.S
  12. D

    [SOLVED] synchronous 4 bit counter problem

    solved my problem, something with my coding.
  13. D

    [SOLVED] Quick VHDL coding question

    yeh i think so. unfortunately i don't have any answers to this question so i cannot check if it is correct.
  14. D

    [SOLVED] synchronous 4 bit counter problem

    i have tried it again, can someone tell me where is my mistake, because when i simulate it doesn't give me an output for Q. architecture Behavioral of ques4 is signal count : std_logic_vector(3 downto 0); signal tCout : std_logic; begin process(clk,clear,count,P,T,Load) begin if(clear =...

Part and Inventory Search

Back
Top