Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by devilish

  1. D

    [SOLVED] [VHDL] Counter + Mux to LED.

    Thanks everyone. I've passed my project. When I've been explaining the code, I've told what could I do and how and it went good. Thread SOLVED. :-)
  2. D

    [SOLVED] [VHDL] Counter + Mux to LED.

    Thanks for advice, I'll do that to improve the code. ;-)
  3. D

    [SOLVED] [VHDL] Counter + Mux to LED.

    Hi again. Down to logic, my little experience and the simulation clock should work well, but it doesn't. I've been in lab for a while today to test it and result was very, very disappointing. I have made simpler version which is working well. Here's the code: library IEEE; use...
  4. D

    [SOLVED] [VHDL] Counter + Mux to LED.

    Welcome everyone! I'm beginner in VHDL-coding and I've lack of experience with CPDL-designing. I've project to do... It should work as simple LED-display-tester on the Xilinx XC9500 Device. I've only ISE Webpack to compile and simulate the code in home. But I don't know if it have chance to...

Part and Inventory Search

Back
Top