Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Dedong

  1. D

    Timing Mismatch in Cadence Genus and Innovus

    Thanks for the reply. As I said, the Innovus timing report is generated before placement which means that CTS hasn't been done.
  2. D

    Timing Mismatch in Cadence Genus and Innovus

    Thanks for the reply. Could I ask where the -19ns of required time in the Innovus timing report come from?
  3. D

    Unknow Timing Arc in Innovus Post-Route Timing Report

    Hi, This is no instance, no delay but just arrival and required time of the first timing arc in Innovus post-route timing report. Could I ask where the arrival and required time come from? Attached are the timing report and the applied sdc file generated from Genus. Thanks!
  4. D

    Timing Mismatch in Cadence Genus and Innovus

    Hi, Thanks for the useful information! I tried to rewrite my sdc file with different clock frequencies and found that whatever the clock frequency is, the negative timing slack is always -19ps at the first timing arc. It's really interesting. The timing reports in Genus and Innovus are as...
  5. D

    Timing Mismatch in Cadence Genus and Innovus

    Hi, During synthesis using Genus, timing is met with around 1ns positive slack while before placement in Innovus, timing is violated with around 10ps negative slack. Could I ask what's the possible reason for this? I'm using GF22nm technology and the SDC file is as following...

Part and Inventory Search

Back
Top