Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cim123

  1. C

    battery charger current control

    Hi -- --- Hi, --- It all depends on your circuitry and the type of battery. You can use PWM, --- phase control, pulse-skipping, etc. ----Tahmid. Can I please have a bit more detail on how to control the charging current of a SCR main charger for a lead acid battery ? Thanks...
  2. C

    SPWM Inverter using IGBT's and PIC18F4520

    Hi, I am also working on an inverter project using igbt. I am switching them with unipolar spwm @ 12.2KHz. No matter what i do, I get lower order harmonics in 100-250 range. I am not using any o/p filter, just 10 uF capacitor in parallel with the transformer secondary. Would really...
  3. C

    Actel SmartFusion simple VHDL example?

    Hi, Sorry for misinterpreting the question. Regarding the clock management block, can you not instantiate it from the Catalog pane ? I will try it today. Hi Yi Cheng, nice to meet you too. Yes the eval kit as around 4-8 weeks of lead time. What do you mean by suitable for games ? What kind of...
  4. C

    Actel SmartFusion simple VHDL example?

    Hi Farhad, I am also currently working on the smartfusion eval kit. Why can't you just make your own design. Take input as the switches and connect them to the leds, or have a counter which increments. Map the correct I/O. Here is a sample in verilog. module toggle_led( clk...
  5. C

    Programming the Actel Smartfusion Eval Kit in Linux

    Hi Joan, Thanks for the clarification. So does this mean that if am a Platinum user running Libero on Linux, I would still need to have a windows machine just to program the device ? I don't get it, what is the use of having a Linux version, I mean doesn't it defeat the purpose. I understand...
  6. C

    Your FPGA tool wishlist?

    works natively on llinux for once. Manish
  7. C

    Programming the Actel Smartfusion Eval Kit in Linux

    Hi, I recently got the Actel Smartfusion eval kit. Since I use Linux, I am running the windows Libero IDE under wine. While the application runs fine under wine, I am not able to program the device with the Flashpro software. Seems like it is not able to talk to the programmer. I know this...

Part and Inventory Search

Back
Top